設計信號燈
① 交通信號燈控制系統的設計
這個方案太老了,按照考古的觀點,絕對算西漢時期的了。用現代單片機,根本就不版需要權8255和8243----單片機的IO口可以取代8255,單片機的內部定時器可以取代8243。用一片單片機就可以解決的問題,硬是要求用一大堆擴展外部擴展元件完成,體積大/成本高/功耗高/可靠性不好,若是你工作中採用這個方案,你絕對掛了。還好,沒有要求外擴EPROM和RAM。
你的方案:89C51CPU-74HC573-A15選擇8255,A14選擇8243,省去一片解碼器。其它的數據線/地址線按照晶元的要求連接即可。
注意:1。外部電源需要5V/1A以上,
2。數據匯流排需要用5.1k歐姆的排阻做上拉,保證電平匹配
3。8255地址8000開始4個,8253地址從4000開始,千萬不要搞錯,否則你都不知道到底控制哪一個了。
② 能幫我設計一個電路圖嗎 讓紅黃綠三個燈循環閃爍,模擬交通信號燈
不要倒計時和換燈抄閃爍功能,這個電路很簡單的,如圖
改變電容容量就能改變亮燈時間,三極體偏置電阻保持一致,改變集電極限流電阻即可改變LED亮度,這里要注意綠LED點亮電壓多在2.8-3.2V,紅、黃LED多在1.8-2.2v,所以綠燈限流電阻阻值應改小。
③ 交通信號燈設計方案 有嗎
一 設計過程
(一)設計題目和方案確定
1.設計題目:交通燈信號控制器的設計
2.設計要求:1.設交通燈信號控制器用於主幹道與支幹道公路的交叉路口,要求是優先保證主幹道的暢通。因此,平時處於"主幹道綠燈,支道紅燈"狀態,只有在支道有車輛要穿行主幹道時,才將交通燈切向"主幹道紅燈,支道綠燈",一旦支道無車輛通過路口,交通燈又回到"主幹道綠燈,支道紅燈"狀態。
2.主幹道和支幹道自動循環。主幹道和支道每次通行的時間為30s,而在兩個狀態交換過程出現的"主黃,支紅"和"主紅,支黃"狀態,持續時間都為4s。
3. 手動設置主幹道和支道每次通行的時間分別為為30s、40s、50s;
3 設計思路:
(1)感測器狀態為主幹路感測器支幹路感測器,當支路無車時,即感測器開關狀態為00 01狀態時,總保持主幹道綠燈支幹道紅燈狀態;
(2)當主路總無車而支路總有車時,即感測器開關為01狀態時 ,總保持主紅支綠;
(3)當主幹路支幹路都有車時,即感測器為11狀態時,主路支路輪流切換通行。
當主路綠燈30s切黃燈4s後,主路變紅燈,支路變綠燈;當支路綠燈30s切黃燈4s後,主路變綠燈,支路變紅燈。此兩種狀態為「主黃,支紅」,「主紅,支黃」兩種狀態。
(二)設計項目輸入編譯和模擬
1 設計交通控制器的VHDL文本程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all;
entity jtdkz is
port(clk,sens_m,sens_f:in std_logic;
m,l,n:in std_logic;
rm,ym,gm,rf,yf,gf:out std_logic);
end jtdkz;
architecture arc of jtdkz is
type state_type is (a,b,c,d);
signal state:state_type;
signal k:std_logic_vector(2 downto 0);
begin
k<=m&l&n;
cnt:process(clk,state)
variable g,s:integer range 0 to 49;
variable nclr,en:bit;
begin
if k<="001" then g:=29;
elsif k<="010" then g:=39;
elsif k<="100" then g:=49;
else g:=0;
end if;
if(clk'event and clk='1') then
if nclr='0' then s:=0;
elsif en='0' then s:=s;
else s:=s+1;
end if;
case state is
when a=>rm<='0';ym<='0';gm<='1';
rf<='1';yf<='0';gf<='0';
if(sens_f and sens_m)='1' then
if s=g then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
elsif(sens_f and (not sens_m))='1' then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
when b=>rm<='0';ym<='1';gm<='0';
rf<='1';yf<='0';gf<='0';
if s=3 then
state<=c;nclr:='0';en:='0';
else
state<=b;nclr:='1';en:='1';
end if;
when c=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='0';gf<='1';
if(sens_f and sens_m)='1' then
if s=g then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
elsif sens_f='0' then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
when d=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='1';gf<='0';
if s=3 then
state<=a;nclr:='0';en:='1';
else
state<=d;nclr:='1';en:='1';
end if;
end case;
end if;
end process cnt;
end arc;
2 程序說明:
rm ym gm分別表示主幹道紅黃綠燈,rf yf gf分別表示支道紅黃綠燈;
sens_m sens_f分別表示主幹道支幹道感測器。有車時為1,無車時為0。
m l n表示手動控制開關,當001時表示30s控制時間,當010時表示40s控制時間,
當100時表示50s控制時間。
3 將模塊進行編譯,具體如下:
(1)器件的選擇:選擇FLEX10K10LC84-3器件。
(2)鎖定引腳。
(3)編譯。
4.新建一個gdf文件,形成頂層文件,如圖所示:
4功能模擬:創建模擬通道文件;
編輯模擬通道文件;
設計項目的模擬。
新建一個scf文件,生成模擬圖,如圖所示:
(三) 器件編程下載與硬體驗證
1. 器件編譯:
將ByteBlaster電纜的一端與計算機的並行口相連
選擇菜單命令MAX+PLUSⅡ/Programmer,打開編譯窗口。如下圖所示∶
選擇菜單命令Options/Hardware Setup,在Hardware Type欄選擇ByteBlaster(MV);在
欄選擇使用並行口(LPT1);選擇OK按鈕,回到器件編譯窗口。如下圖所示∶Parallel Port中
④ 十字路口交通信號燈設計思路
字路口交通信號燈設計思路?
4范冰冰vv剛剛把
⑤ 跪求一交通信號燈設計圖,謝謝了
本題還有什麼要求?如:紅燈、綠燈、黃燈各亮多少秒?是否進行剩餘時間顯示?等
⑥ 單片機交通信號燈設計
去研究一下匯編語言吧.這種東西是教不會的.
⑦ 繪制設計交通信號燈的模擬電路圖
縱觀深空探索、生物工程、醫療儀器、分析儀器、高能物理研究、生物起源、考古研究、刑事偵查的物證鑒別的高端儀器,都是進口儀器一統天下,都是以模擬技術為基礎。例如哈勃望遠鏡、黑洞探索、引力波探測、衛星照片就是應用它們取得的數據。慣性制導系統、手機、基站、計算機、電視機、攝像機、掃描器、照相機等等信息設備,輸入、輸出大都是模擬處理過程,只是在中間採用了數字處理過程。現在都是將模擬與數字硬體部分、甚至軟體都集成。沒有基礎設計能力和半導體工藝設備,只能採用系統集成方式,優勢兵力和最大的本事是演算法、運用DSP,將市場上的商品來個大拼盤,就一定要使用別人的核心硬體和軟體,就後門大開,引進了安全隱患。所以,在理論上是半空吊、在應用上是最終消費者,永遠處於在產業鏈的下游。
愛因斯坦相對論的提出,是依據當時用先進硬體取得大量物理、天文的實驗、觀察基礎數據;對電路基礎元器件都沒有正真認識的對應專業大學生研究生比比皆是,在這個前提下,依靠電路模擬軟體也做不成像樣的基本線路。
所以學生要首先使用模擬指針萬用表,建立感性理解和想像力,國人擅長與抽象思維,是因為深度的正確直覺培養周期長,花費高昂,能跨過門檻的人稀少;相比之下,玩弄數學、英語,背公式、解習題、引用文獻太容易啦。
以國內這幾十年來的普遍教學方法、教學大綱、教材、實驗裝置,再加上創新工程,即使是北大、清華都沒什麼可能培養出能純熟設計電路的人物。至少要對十個以上基本的電路進行完整的設計、調試;再對十個以上的應用組合設計進行系統的設計、整體聯調,才是最基本的教育。
能造衛星、運載火箭、核武器的國家多了去,而代表其工業基礎和整體的創新能力的標志是基礎材料、精密加工設備、精密儀器中有多少是本國製造的?基礎工業在國際上的水平如何?本人在軍工企業工作過,知道各國的國防工業的關鍵技術都是以國家的實力買來賣去,結果是別人大規模生產的工業產品,你用更高的生產成本製造,也達不到別人的性能和可靠性。工業基礎建設不扎實、現代工業不完善、國民素質**的條件下,要緊跟世界的發展、經濟形式要求迫切的的壓力下,就只能以信息化建設為中心,必然捉襟露肘,就要進行補缺啦;房屋建築偷工減料,造成了隱患,引起了鄰里不和,糾紛不斷,於是防水補漏行業興旺發達,社會資源浪費消耗巨大,當初建房子的時候稍微注意、花一點小錢就能後顧無憂。
建議開展免費網上視頻輔導活動,全程用高清錄像記錄,匯編後公開出版。
以上內容都是本人在網路知道網站獨立撰寫、逐字鍵入的,以後這些問題,應該責院士、博導、院長、校長、部長在線回答。
什麼是模擬電路?最難、最基本的,在限定分立元器件、通用集成電路的前提下,本科生做不出的,博士後也沒有辦法,這功夫就是如此硬朗!!!不同於數學題、外語作業,提高一個學歷等級就可以解決了;做生意、搞政績、玩股票,投資額度高就可以掩蓋問題,在這里是行不通的!!無論是模擬電路技術指標、特殊功能、模擬計算機等等,都是硬功夫!!!許多參數都是可以計算或調試出來的,都是有依據的,這不是下載線路仿製能達到相同效果的技巧,是復雜、靈活機動的空間、時間思維方式,還要充分考慮市場供應條件、客戶要求、發達國家最高的水平。機械機構設計、特殊加工工藝的思維方式也類似。本人擅長於此,與許多出版社聯系過,願意提供從基礎線路到高性能應用實例的全套創新教材,他們都不願意出