设计信号灯
① 交通信号灯控制系统的设计
这个方案太老了,按照考古的观点,绝对算西汉时期的了。用现代单片机,根本就不版需要权8255和8243----单片机的IO口可以取代8255,单片机的内部定时器可以取代8243。用一片单片机就可以解决的问题,硬是要求用一大堆扩展外部扩展元件完成,体积大/成本高/功耗高/可靠性不好,若是你工作中采用这个方案,你绝对挂了。还好,没有要求外扩EPROM和RAM。
你的方案:89C51CPU-74HC573-A15选择8255,A14选择8243,省去一片译码器。其它的数据线/地址线按照芯片的要求连接即可。
注意:1。外部电源需要5V/1A以上,
2。数据总线需要用5.1k欧姆的排阻做上拉,保证电平匹配
3。8255地址8000开始4个,8253地址从4000开始,千万不要搞错,否则你都不知道到底控制哪一个了。
② 能帮我设计一个电路图吗 让红黄绿三个灯循环闪烁,模拟交通信号灯
不要倒计时和换灯抄闪烁功能,这个电路很简单的,如图
改变电容容量就能改变亮灯时间,三极管偏置电阻保持一致,改变集电极限流电阻即可改变LED亮度,这里要注意绿LED点亮电压多在2.8-3.2V,红、黄LED多在1.8-2.2v,所以绿灯限流电阻阻值应改小。
③ 交通信号灯设计方案 有吗
一 设计过程
(一)设计题目和方案确定
1.设计题目:交通灯信号控制器的设计
2.设计要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于"主干道绿灯,支道红灯"状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"主干道红灯,支道绿灯",一旦支道无车辆通过路口,交通灯又回到"主干道绿灯,支道红灯"状态。
2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的"主黄,支红"和"主红,支黄"状态,持续时间都为4s。
3. 手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;
3 设计思路:
(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为00 01状态时,总保持主干道绿灯支干道红灯状态;
(2)当主路总无车而支路总有车时,即传感器开关为01状态时 ,总保持主红支绿;
(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。
当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄,支红”,“主红,支黄”两种状态。
(二)设计项目输入编译和仿真
1 设计交通控制器的VHDL文本程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all;
entity jtdkz is
port(clk,sens_m,sens_f:in std_logic;
m,l,n:in std_logic;
rm,ym,gm,rf,yf,gf:out std_logic);
end jtdkz;
architecture arc of jtdkz is
type state_type is (a,b,c,d);
signal state:state_type;
signal k:std_logic_vector(2 downto 0);
begin
k<=m&l&n;
cnt:process(clk,state)
variable g,s:integer range 0 to 49;
variable nclr,en:bit;
begin
if k<="001" then g:=29;
elsif k<="010" then g:=39;
elsif k<="100" then g:=49;
else g:=0;
end if;
if(clk'event and clk='1') then
if nclr='0' then s:=0;
elsif en='0' then s:=s;
else s:=s+1;
end if;
case state is
when a=>rm<='0';ym<='0';gm<='1';
rf<='1';yf<='0';gf<='0';
if(sens_f and sens_m)='1' then
if s=g then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
elsif(sens_f and (not sens_m))='1' then
state<=b;nclr:='0';en:='0';
else
state<=a;nclr:='1';en:='1';
end if;
when b=>rm<='0';ym<='1';gm<='0';
rf<='1';yf<='0';gf<='0';
if s=3 then
state<=c;nclr:='0';en:='0';
else
state<=b;nclr:='1';en:='1';
end if;
when c=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='0';gf<='1';
if(sens_f and sens_m)='1' then
if s=g then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
elsif sens_f='0' then
state<=d;nclr:='0';en:='0';
else
state<=c;nclr:='1';en:='1';
end if;
when d=>rm<='1';ym<='0';gm<='0';
rf<='0';yf<='1';gf<='0';
if s=3 then
state<=a;nclr:='0';en:='1';
else
state<=d;nclr:='1';en:='1';
end if;
end case;
end if;
end process cnt;
end arc;
2 程序说明:
rm ym gm分别表示主干道红黄绿灯,rf yf gf分别表示支道红黄绿灯;
sens_m sens_f分别表示主干道支干道传感器。有车时为1,无车时为0。
m l n表示手动控制开关,当001时表示30s控制时间,当010时表示40s控制时间,
当100时表示50s控制时间。
3 将模块进行编译,具体如下:
(1)器件的选择:选择FLEX10K10LC84-3器件。
(2)锁定引脚。
(3)编译。
4.新建一个gdf文件,形成顶层文件,如图所示:
4功能仿真:创建仿真通道文件;
编辑仿真通道文件;
设计项目的仿真。
新建一个scf文件,生成仿真图,如图所示:
(三) 器件编程下载与硬件验证
1. 器件编译:
将ByteBlaster电缆的一端与计算机的并行口相连
选择菜单命令MAX+PLUSⅡ/Programmer,打开编译窗口。如下图所示∶
选择菜单命令Options/Hardware Setup,在Hardware Type栏选择ByteBlaster(MV);在
栏选择使用并行口(LPT1);选择OK按钮,回到器件编译窗口。如下图所示∶Parallel Port中
④ 十字路口交通信号灯设计思路
字路口交通信号灯设计思路?
4范冰冰vv刚刚把
⑤ 跪求一交通信号灯设计图,谢谢了
本题还有什么要求?如:红灯、绿灯、黄灯各亮多少秒?是否进行剩余时间显示?等
⑥ 单片机交通信号灯设计
去研究一下汇编语言吧.这种东西是教不会的.
⑦ 绘制设计交通信号灯的模拟电路图
纵观深空探索、生物工程、医疗仪器、分析仪器、高能物理研究、生物起源、考古研究、刑事侦查的物证鉴别的高端仪器,都是进口仪器一统天下,都是以模拟技术为基础。例如哈勃望远镜、黑洞探索、引力波探测、卫星照片就是应用它们取得的数据。惯性制导系统、手机、基站、计算机、电视机、摄像机、扫描器、照相机等等信息设备,输入、输出大都是模拟处理过程,只是在中间采用了数字处理过程。现在都是将模拟与数字硬件部分、甚至软件都集成。没有基础设计能力和半导体工艺设备,只能采用系统集成方式,优势兵力和最大的本事是算法、运用DSP,将市场上的商品来个大拼盘,就一定要使用别人的核心硬件和软件,就后门大开,引进了安全隐患。所以,在理论上是半空吊、在应用上是最终消费者,永远处于在产业链的下游。
爱因斯坦相对论的提出,是依据当时用先进硬件取得大量物理、天文的实验、观察基础数据;对电路基础元器件都没有正真认识的对应专业大学生研究生比比皆是,在这个前提下,依靠电路仿真软件也做不成像样的基本线路。
所以学生要首先使用模拟指针万用表,建立感性理解和想象力,国人擅长与抽象思维,是因为深度的正确直觉培养周期长,花费高昂,能跨过门槛的人稀少;相比之下,玩弄数学、英语,背公式、解习题、引用文献太容易啦。
以国内这几十年来的普遍教学方法、教学大纲、教材、实验装置,再加上创新工程,即使是北大、清华都没什么可能培养出能纯熟设计电路的人物。至少要对十个以上基本的电路进行完整的设计、调试;再对十个以上的应用组合设计进行系统的设计、整体联调,才是最基本的教育。
能造卫星、运载火箭、核武器的国家多了去,而代表其工业基础和整体的创新能力的标志是基础材料、精密加工设备、精密仪器中有多少是本国制造的?基础工业在国际上的水平如何?本人在军工企业工作过,知道各国的国防工业的关键技术都是以国家的实力买来卖去,结果是别人大规模生产的工业产品,你用更高的生产成本制造,也达不到别人的性能和可靠性。工业基础建设不扎实、现代工业不完善、国民素质**的条件下,要紧跟世界的发展、经济形式要求迫切的的压力下,就只能以信息化建设为中心,必然捉襟露肘,就要进行补缺啦;房屋建筑偷工减料,造成了隐患,引起了邻里不和,纠纷不断,于是防水补漏行业兴旺发达,社会资源浪费消耗巨大,当初建房子的时候稍微注意、花一点小钱就能后顾无忧。
建议开展免费网上视频辅导活动,全程用高清录像记录,汇编后公开出版。
以上内容都是本人在网络知道网站独立撰写、逐字键入的,以后这些问题,应该责院士、博导、院长、校长、部长在线回答。
什么是模拟电路?最难、最基本的,在限定分立元器件、通用集成电路的前提下,本科生做不出的,博士后也没有办法,这功夫就是如此硬朗!!!不同于数学题、外语作业,提高一个学历等级就可以解决了;做生意、搞政绩、玩股票,投资额度高就可以掩盖问题,在这里是行不通的!!无论是模拟电路技术指标、特殊功能、模拟计算机等等,都是硬功夫!!!许多参数都是可以计算或调试出来的,都是有依据的,这不是下载线路仿制能达到相同效果的技巧,是复杂、灵活机动的空间、时间思维方式,还要充分考虑市场供应条件、客户要求、发达国家最高的水平。机械机构设计、特殊加工工艺的思维方式也类似。本人擅长于此,与许多出版社联系过,愿意提供从基础线路到高性能应用实例的全套创新教材,他们都不愿意出